The global panel and semiconductor positive photoresist market will reach 5.7 billion U.S. dollars in 2025

Due to the limited capacity of KrF photoresists and the active expansion of global fabs, it is said that Shin-Etsu Chemical has recently restricted supply to many fabs in mainland China, which will make many domestic fabs face KrF lithography. Glue out of the stock situation.

The photoresist for semiconductors has continuously shortened the exposure wavelength to improve the ultimate resolution. The world's chip technology has now entered the micro-nano level. The wavelength of the photoresist has gradually changed from ultraviolet broad spectrum to g-line (436nm) and i-line (365nm), KrF (248nm), ArF (193nm), and the most advanced EUV (<13.5nm) line level. At present, the main domestic semiconductor photoresist suppliers include Beijing Kehua, Nanda Optoelectronics, Jingrui, Shanghai Xinyang, and other companies.

Panel photoresists are mainly divided into positive and negative photoresists according to photoresist characteristics. The negative photoresist is cross-linked in the exposed area and is difficult to dissolve in the developing solution, so the photoresist is retained, and the non-exposed area is easily soluble in the developing solution. On the contrary, the exposed area is easily dissolved in the developing solution. The non-exposed area is not easily soluble in the developer. Domestic companies have made great breakthroughs in panel photoresist. Beixu, Feikai Materials, Xinyihua, Boyan, and Jacques Technology have all shipped in large quantities.

With the expansion of display panels and wafer production capacity, global photoresist demand is also increasing. Taking the market demand for positive photoresists for panels and semiconductors as an example, CINNO Research released a report stating that by 2025, the global panel and semiconductor positive photoresists market will achieve an average annual compound growth rate of 3.2% to 5.7 billion U.S. dollars.

From the perspective of the photoresist market competition pattern, domestic photoresist materials have achieved certain results in the field of panel display and semiconductors, but there are still problems such as relatively weak technology and low localization rate. The upstream and downstream domestic supply chains still need to work hard. , Improve product development capabilities, improve product quality, accelerate the progress of localization, and solve supply chain security issues.